International MOS-AK Workshop , HangZhou, China June 29-30, 2017

Invited talks (29th -30th . June, 45min for each)- 


Prof. Ling Li----TFT compact model and applicaitons


         Prof. Jun Liu -- A New Compact Model for FinFETs Accommodating Inner Thermal Effect


                Dr. Eric Leclerc ----Latest improvments in modeling for GaN and GaAs foundry processes with the support of ADS capabilities 


Prof.Thomas Zimmer---- Beyond 100GHz: Device characterization for THz applications


Dr. Helmut Puchner-----Radiation Hardening of Memory Products

INFO:  Dr. Sakalas Paulius & Dr. Pete Zampardi can not attend MOS-AK hangzhou due to personal healthy reasons.

  


Jun Liu was born in Zhejiang, China, in 1977. He received the B.S. degree in electronic engineering and the M.S. degree in circuits and systems from Hangzhou Dianzi University, Hangzhou, China, in 2003 and 2006, respectively, and the Ph.D. degree from the School of Electronic Engineering, Dublin City University, Dublin, Ireland, and Hangzhou Dianzi University. His current research interests include the modeling of passive/active RF devices and the design of RF/MMICs.

 



Ling Li was born in Sichuan,China. He received the Master of Science from Institute of microelectronics, Chinese Academy of Sceinces, and the PhD degree in microelectronics from the Technische Universität Wien, in 2004, and 2007, respectively. He is currently employed as Professor at the Institute of Microelectronics, Chinese Academy of Sciences. Since 2010 he has headed the theory and modeling group, working on semiconductor device physics. His current scientific interests include charge transport in disordered material, device modeling, and compact model issues.


Eric Leclerc was born in France in 1962. He obtained a research degree in Physics in 1984 and received a Solid State Physics DEA in 1985 at Paris XI University (Orsay).

He worked on GaAs Czochralski growing method in Thomson DAG Group and studied the influence of defects on active devices performances. In 1992 he joined the Modelling Department of Thomson-TCS and was in charge of non-linear modelling for high frequency GaAs processes. He joined UMS at its creation in 1996 to work on new power devices development and characterization, and since 2001 he is the UMS Foundry Manager. Eric is also in charge of business development inside the UMS Foundry Business Unit for four years


Thomas Zimmer received the M.Sc. degree in physics from the University of Würzburg, Germany, in 1989 and the Ph.D. degree in electronics from the University Bordeaux 1, Talence, France, in 1992. From 1989 to 1990, he was with the Fraunhofer Institute, Erlangen, Germany. Since 1992, he is with the IMS Institute, Talence, France. Since 2003, he is Full Professor at the University Bordeaux. His research interests are focused on electrical compact modeling and characterization of HF devices such as HBT (SiGe, InP), graphene nanotubes and Graphene transistors. At the IMS lab, he is the pilot of the central research activities for IoT. He is a cofounder of the company XMOD Technologies and Senior Member IEEE. He has served as a Reviewer for many journals (IEEE ED, EDL, SSE…), was the TPC (Technical Program Chair) of the ESSDERC 2012 conference and participated on the Program Committee of several conferences (BCTM, ESSDERC, EuMW, IMCL …). He organized several workshops dedicated to SiGe-THz technologies, devices and systems. He served as Guest Editor for the Journal of Online Engineering (iJOE), the International Journal of Interactive Mobile Technologies (iJIM) and for Solid State Electronics (SSE). He has authored or co-authored more than 250 peer-reviewed scientific articles, two books and contributed to 8 book-chapters. He currently holds four patents.


Helmut Puchner was born in Steyr, Austria. He received his MS and PhD in EE from the Vienna Technical University in 1992 and 1996, respectively, and his habilitation (“non-tenure teaching credentials”) for Microelectronics from the Vienna Technical University in 2002.

He taught several classes at the Vienna Technical University from 2002-2006. 

Since 2002 he is with Cypress Semiconductor, where he was led transistor development, TCAD, and device reliability till 2009. Currently he is responsible for product development and business development of radiation hard memory products for the Aerospace and Military market. He has published more than 100+ conference/journal articles and holds 36 US patents.